免费注册 查看新帖 |

Chinaunix

  平台 论坛 博客 文库
最近访问板块 发新帖
查看: 1321 | 回复: 0
打印 上一主题 下一主题

VHDL语言中 bit如何转换为std_logic的方法 [复制链接]

论坛徽章:
0
跳转到指定楼层
1 [收藏(0)] [报告]
发表于 2011-01-05 16:05 |只看该作者 |倒序浏览
在std_1164库中只查到有bit转成std_ulogic,bit_vector 转成std_logic_vector.我现在想把一个bit赋给std_logic_vector类型的最后一位,请问有没有好的处理方法?谢谢

function  bit_to_std_logic(constant bit_in : bit) return std_logic is
  
  variable temp_bit : bit;
  variable temp_result : std_logic;

begin
  
  temp_bit := bit_in;
  if temp_bit = '1' then
     temp_result := '1';
  else
     temp_result := '0';
  end if;

  return(temp_result);

end bit_to_std_logic;

begin

   std_logic_vector_signal(0) <= bit_to_std_logic(bit_in);

end;

您需要登录后才可以回帖 登录 | 注册

本版积分规则 发表回复

  

北京盛拓优讯信息技术有限公司. 版权所有 京ICP备16024965号-6 北京市公安局海淀分局网监中心备案编号:11010802020122 niuxiaotong@pcpop.com 17352615567
未成年举报专区
中国互联网协会会员  联系我们:huangweiwei@itpub.net
感谢所有关心和支持过ChinaUnix的朋友们 转载本站内容请注明原作者名及出处

清除 Cookies - ChinaUnix - Archiver - WAP - TOP