免费注册 查看新帖 |

Chinaunix

  平台 论坛 博客 文库
最近访问板块 发新帖
查看: 1292 | 回复: 0
打印 上一主题 下一主题

quartus 中关于不含default的case语句的疑惑 [复制链接]

论坛徽章:
0
跳转到指定楼层
1 [收藏(0)] [报告]
发表于 2011-12-21 08:41 |只看该作者 |倒序浏览
在组合逻辑中写case语句时,一般的情况下,一定要包含所有的分支条件,每个条件覆盖所有的敏感列表
(case中使用的变量),并且加上default。以避免综合的过程中产生锁存器,而得不到正确的结果。
例如:
  1. always@(a)
  2. begin
  3. case(a)
  4. 0:b=0;
  5. 1:b=1;
  6. 2:b=2;
  7. 3:b=3;
  8. 4:b=4;
  9. 5:b=5;
  10. endcase
  11. end
a的值每个时钟周期加1。在SingalTap中得到的结果为:

可以看到,b=6的结果是错误的。
为了产生正确的结果,可以添加一个时序逻辑以保存b的值。
  1. always@(posedge clk)
  2. begin
  3. temp=b;
  4. end

  5. always@(a)
  6. begin
  7. case(a)
  8. 0:b=0;
  9. 1:b=1;
  10. 2:b=2;
  11. 3:b=3;
  12. 4:b=4;
  13. 5:b=5;
  14. default:b=temp;
  15. endcase
  16. end
这样就可以得到正确的结果:
您需要登录后才可以回帖 登录 | 注册

本版积分规则 发表回复

  

北京盛拓优讯信息技术有限公司. 版权所有 京ICP备16024965号-6 北京市公安局海淀分局网监中心备案编号:11010802020122 niuxiaotong@pcpop.com 17352615567
未成年举报专区
中国互联网协会会员  联系我们:huangweiwei@itpub.net
感谢所有关心和支持过ChinaUnix的朋友们 转载本站内容请注明原作者名及出处

清除 Cookies - ChinaUnix - Archiver - WAP - TOP