免费注册 查看新帖 |

Chinaunix

  平台 论坛 博客 文库
最近访问板块 发新帖
查看: 2107 | 回复: 0

[IDE] 请问有人愿意做一个systemverilog语法的Vim插件吗,要支持基于语法的代码折叠 [复制链接]

论坛徽章:
0
发表于 2013-12-30 00:29 |显示全部楼层
找到的systemverilog语法的Vim插件主要都是高亮显示,没发现基于语法进行代码折叠的
我的想法就是可以自动吧 class...endclass,  function...endfunction, task...endtask 之类的自动折叠起来。 但是不想在代码中加{{{...}}} 之类的标记,因为合作的伙伴不一定喜欢。所以希望可以基于语法自动折叠

不知道有没有人愿意做这个事情。(我自己有尝试,基本功能实现了,但也有不少bug,个人无解了。有兴趣的可以交流一下)
您需要登录后才可以回帖 登录 | 注册

本版积分规则 发表回复

  

北京盛拓优讯信息技术有限公司. 版权所有 京ICP备16024965号-6 北京市公安局海淀分局网监中心备案编号:11010802020122 niuxiaotong@pcpop.com 17352615567
未成年举报专区
中国互联网协会会员  联系我们:huangweiwei@itpub.net
感谢所有关心和支持过ChinaUnix的朋友们 转载本站内容请注明原作者名及出处

清除 Cookies - ChinaUnix - Archiver - WAP - TOP