免费注册 查看新帖 |

Chinaunix

  平台 论坛 博客 文库
最近访问板块 发新帖
查看: 1718 | 回复: 5
打印 上一主题 下一主题

undefined symbol 和 unresolved symbol 问题 [复制链接]

论坛徽章:
0
跳转到指定楼层
1 [收藏(0)] [报告]
发表于 2006-06-01 15:57 |只看该作者 |倒序浏览
程序调试时候没有问题

程序涉及内核编程

但运行时候出现以下错误:
undefined symbol BufRung.

unresolved symbol BufRung.

请问是什么原因呢?

论坛徽章:
0
2 [报告]
发表于 2006-06-01 16:07 |只看该作者
并且我已经定义了BufRung 在实现文件包含了定义BufRung的头文件

论坛徽章:
0
3 [报告]
发表于 2006-06-01 16:19 |只看该作者
是不是没有带 extern

论坛徽章:
0
4 [报告]
发表于 2006-06-01 16:28 |只看该作者
一般是头文件或编译时没加载相关动态库造成的

论坛徽章:
0
5 [报告]
发表于 2006-06-02 10:52 |只看该作者

回复 4楼 linternt 的帖子

头文件没问题啊
怎样加载动态库啊 能具体点不 谢谢了

论坛徽章:
0
6 [报告]
发表于 2006-06-02 11:08 |只看该作者
可以看看你的makefile吗
自己定义的变量与加载动态库有什么关系 还请指教
您需要登录后才可以回帖 登录 | 注册

本版积分规则 发表回复

  

北京盛拓优讯信息技术有限公司. 版权所有 京ICP备16024965号-6 北京市公安局海淀分局网监中心备案编号:11010802020122 niuxiaotong@pcpop.com 17352615567
未成年举报专区
中国互联网协会会员  联系我们:huangweiwei@itpub.net
感谢所有关心和支持过ChinaUnix的朋友们 转载本站内容请注明原作者名及出处

清除 Cookies - ChinaUnix - Archiver - WAP - TOP